Logic Synthesis with VHDL
Sequential Circuits





Bob Reese
Electrical Engineering Department
Mississippi State University





Converted to HTML by
MANJUNATH R. MITTHA
MS Student, Dept. of Elec. Eng.,
Mississippi State University


INDEX


Sequential Circuits
Sequential Template
8 bit Loadable Register with Asynchronous Clear
Reg8bit State Process
Wait Statement
Finite State Machine Example
Traffic Light Controller Block Diagram
VHDL for TrafficLight FSM Control
One Hot Encoding for FSM's
One Hot Encoding for TLC
Simple 4 bit Shift Register
Loop Function for Shift Register
Scan Path Synthesis
4 Bit Register with Scan Path
Adding Scan to tlc_onehot.vhd
Register with Tri State Output


Sequential Circuits


BACK TO INDEX


Sequential Template


library declarations

entity  model_name is
port 
(
	list of inputs and outputs
);
end  model_name;

architecture behavior of model_name is
internal signal declarations 
begin
	-- the state process defines the storage elements
 	state: process ( sensitivity list -- clock, reset, next_state inputs)
	begin
		vhdl statements for state elements
	end process state;	

	-- the comb process defines the combinational logic 
 	comb: process ( sensitivity list -- usually includes all inputs)
	begin
		vhdl statements which specify combinational logic
	end process comb;
end behavior;

BACK TO INDEX


8-bit Loadable Register with Asynchronous clear


BACK TO INDEX


reg8bit State Process


BACK TO INDEX


wait Statement


BACK TO INDEX


Finite State Machine Example


BACK TO INDEX


Traffic Light Controller Block Diagram


BACK TO INDEX


VHDL For Traffic Light FSM Control


BACK TO INDEX


One-Hot Encoding for FSMs


BACK TO INDEX


One Hot Encoding for TLC


BACK TO INDEX


Simple 4-bit Shift Register


BACK TO INDEX


Loop function for Shift Register


BACK TO INDEX


Scan Path Synthesis


BACK TO INDEX


4-bit Register with Scan Path


BACK TO INDEX


Adding Scan to tlc_onehot.vhd


BACK TO INDEX


Register with TriState Output


BACK TO INDEX