VHDL for dpatha
ntity dpatha is
port ( clk,reset: in std_logic;
roll : in std_logic;
dicesum: out std_logic_vector(3 downto 0);
douta: out std_logic_vector(2 downto 0);
doutb: out std_logic_vector(2 downto 0)
);
Previous slide
Next slide
Back to first slide
View graphic version