----------------------------------------------------------------------- -- package with flip-flop declarations ------------------------------------------------------------------------ -- library IEEE; use IEEE.std_logic_1164.all; package fflop_PKG is component DFFC generic (tpd_hl : time; tpd_lh : time); port (D,H,C : std_logic; Q : out std_logic); end component; component DFF generic (tpd_hl : time; tpd_lh : time); port (D,H : std_logic; Q : out std_logic); end component; component TFFC generic (tpd_hl : time; tpd_lh : time); port (T,H,C : std_logic; Q : out std_logic); end component; end fflop_PKG;